מילון אונליין

  חיפוש ברשת      מילון      חיפוש בפורום

 

VHDL-AMS – מילון אנגלי-עברי

Wikipedia ויקיפדיה העברית - האנציקלופדיה החופשיתהורד מילון בבילון 9 למחשב שלך
VHDL-AMS

VHDL-AMS היא נגזרת של שפת תיאור חומרה VHDL. היא כוללת תכנון אנלוגי Analog ותכנון משולב Mixed Signal המרכיבים ביחד את הסיומת AMS. ה-VHDL-AMS נוצרה במטרה לאפשר למתכנני המעגלים המשולבים לתכנן ולשלב בלוקים אנלוגים ומעורבים בתהליך התכנון של מעגלים משולבים כדוגמת VLSI. ההרחבה של השפה לתחום האנלוגי והמשולב היא צורך של התעשייה לאפשר תכנון, אימות ויישום גם של תכנונים אנלוגיים שעד אז היה צורך בכלים גרפיים ידניים כדי לתאר את התכנון. השפה מאפשרת טיפול באותות אנלוגיים ואת מעורבות מערכות משולבות, שימוש במודולים ברמה גבוהה ותיאור התנהגותי של מערכות ורכיבים. VHDL-AMS הוא תקן המוסכם על רוב התעשייה למידול של תכנון משולב Mixed Signal הוא מספק תחביר לתיאור מעגלים הרציפים בזמן ומעגלים המשתנים בשינויים (events) ולכן הוא מתאים לתכנון מעגלים אנלוגים, ספרתיים, ומעורב אנלוגי / דיגיטלי. התקן מתאים במיוחד גם לאימות מערכות אנלוגייות מאוד מורכבות אנלוגי, מעורבות אות ו תדר רדיו  במעגלים משולבים.

להמשך המאמר ראה Wikipedia.org...


© מאמר זה משתמש בתוכן מ-ויקיפדיה® וכפוף לרשיון לשימוש חופשי במסמכים של גנו GNU Free Documentation License וכפוף לרישיון Creative Commons ייחוס-שיתוף זהה

VHDL-AMS – מילון אנגלי-אנגלי

English Wikipedia - The Free Encyclopediaהורד מילון בבילון 9 למחשב שלך
VHDL-AMS
VHDL-AMS is a derivative of the hardware description language VHDL (IEEE standard 1076-1993). It includes analog and mixed-signal extensions (AMS) in order to define the behavior of analog and mixed-signal systems (IEEE 1076.1-1999).

See more at Wikipedia.org...


© This article uses material from Wikipedia® and is licensed under the GNU Free Documentation License and under the Creative Commons Attribution-ShareAlike License




© 2007 מילון G בבילון אונליין - נתמך ע"י מילון בבילון 9